Luca Benini Home Page Coauthor index DBLP Vis pubzone.org

List of publications from the DBLP Bibliography Server - FAQ
Ask others: ACM DL/Guide - CiteSeerX - CSB - MetaPress - Google - Bing - Yahoo

DBLP keys2009
322Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDaniele Ludovici, Georgi Nedeltchev Gaydadjiev, Davide Bertozzi, Luca Benini: Capturing topology-level implications of link synthesis techniques for nanoscale networks-on-chip. ACM Great Lakes Symposium on VLSI 2009: 125-128
321Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, Ciprian Seiculescu, Luca Benini, Giovanni De Micheli: Synthesis of networks on chips for 3D systems on chips. ASP-DAC 2009: 242-247
320Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichele Magno, Federico Tombari, Davide Brunelli, Luigi di Stefano, Luca Benini: Multimodal Abandoned/Removed Object Detection for Low Power Video Surveillance Systems. AVSS 2009: 188-193
319Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini: Predictability vs. Efficiency in the Multicore Era: Fight of Titans or Happy Ever after?. CAV 2009: 50
318Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrancisco Gilabert Villamón, Daniele Ludovici, Simone Medardoni, Davide Bertozzi, Luca Benini, Georgi Nedeltchev Gaydadjiev: Designing Regular Network-on-Chip Topologies under Technology, Architecture and Software Constraints. CISIS 2009: 681-687
317Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLVincenzo Rana, Srinivasan Murali, David Atienza, Marco D. Santambrogio, Luca Benini, Donatella Sciuto: Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems. CODES+ISSS 2009: 325-334
316Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlessio Bonfietti, Michele Lombardi, Michela Milano, Luca Benini: Throughput Constraint for Synchronous Data Flow Graphs. CPAIOR 2009: 26-40
315Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLCiprian Seiculescu, Srinivasan Murali, Luca Benini, Giovanni De Micheli: NoC topology synthesis for supporting shutdown of voltage islands in SoCs. DAC 2009: 822-825
314Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGiacomo Paci, Davide Bertozzi, Luca Benini: Effectiveness of adaptive supply voltage and body bias as post-silicon variability compensation techniques for full-swing and low-swing on-chip communication channels. DATE 2009: 1404-1409
313Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Bartolini, Martino Ruggiero, Luca Benini: Visual quality analysis for dynamic backlight scaling in LCD systems. DATE 2009: 1428-1433
312Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Giovanni De Micheli, Enrico Macii: Physically clustered forward body biasing for variability compensation in nanometer CMOS design. DATE 2009: 154-159
311Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIgor Loi, Federico Angiolini, Luca Benini: Synthesis of low-overhead configurable source routing tables for network interfaces. DATE 2009: 262-267
310Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichele Lombardi, Michela Milano, Luca Benini: Robust non-preemptive hard real-time scheduling for clustered multicore platforms. DATE 2009: 803-808
309Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Marongiu, Luca Benini: Efficient OpenMP support and extensions for MPSoCs with explicitly managed memory hierarchy. DATE 2009: 809-814
308Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLCiprian Seiculescu, Srinivasan Murali, Luca Benini, Giovanni De Micheli: SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips. DATE 2009: 9-14
307Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrancesco Paterna, Luca Benini, Andrea Acquaviva, Francesco Papariello, Giuseppe Desoli, Mauro Olivieri: Adaptive idleness distribution for non-uniform aging tolerance in MultiProcessor Systems-on-Chip. DATE 2009: 906-909
306Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarco Facchini, Trevor Carlson, Anselme Vignon, Martin Palkovic, Francky Catthoor, Wim Dehaene, Luca Benini, Paul Marchal: System-level power/performance evaluation of 3D stacked DRAMs for mobile applications. DATE 2009: 923-928
305Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Bartolini, Martino Ruggiero, Luca Benini: HVS-DBS: human visual system-aware dynamic luminance backlight scaling for video streaming applications. EMSOFT 2009: 21-28
304Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrancesco Paterna, Luca Benini, Andrea Acquaviva, Francesco Papariello, Giuseppe Desoli: Variability-tolerant workload allocation for MPSoC energy minimization under real-time constraints. ESTImedia 2009: 134-142
303Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPiero Zappi, Elisabetta Farella, Luca Benini: Hidden Markov Models Implementation for Tangible Interfaces. INTETAIN 2009: 258-263
302Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Marongiu, Andrea Acquaviva, Luca Benini: OpenMP Support for NBTI-Induced Aging Tolerance in MPSoCs. SSS 2009: 547-562
301Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Alimonda, Salvatore Carta, Andrea Acquaviva, Alessandro Pisano, Luca Benini: A Feedback-Based Approach to DVFS in Data-Flow Applications. IEEE Trans. on CAD of Integrated Circuits and Systems 28(11): 1691-1704 (2009)
300Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFabrizio Mulas, David Atienza, Andrea Acquaviva, Salvatore Carta, Luca Benini, Giovanni De Micheli: Thermal Balancing Policy for Multiprocessor Stream Computing Platforms. IEEE Trans. on CAD of Integrated Circuits and Systems 28(12): 1870-1882 (2009)
299Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartino Ruggiero, Davide Bertozzi, Luca Benini, Michela Milano, A. Andrei: Reducing the Abstraction and Optimality Gaps in the Allocation and Scheduling for Variable Voltage/Frequency MPSoC Platforms. IEEE Trans. on CAD of Integrated Circuits and Systems 28(3): 378-391 (2009)
2008
298Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Optimal sleep transistor synthesis under timing and area constraints. ACM Great Lakes Symposium on VLSI 2008: 177-182
297Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavid Atienza, Giovanni De Micheli, Luca Benini, José L. Ayala, Pablo Garcia Del Valle, Michael DeBole, Vijay Narayanan: Reliability-aware design for nanometer-scale devices. ASP-DAC 2008: 549-554
296no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristine Nardini, Luca Benini, Michael D. Kuo: Statistical Significance in Omic Data Analyses - Alternative/Complementary Method for Efficient Automatic Identification of Statistically Significant Tests in High Throughput Biological Studies. BIOSIGNALS (1) 2008: 56-63
295Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristine Nardini, Lei Wang, Hesen Peng, Luca Benini, Michael D. Kuo: MM-Correction: Meta-analysis-Based Multiple Hypotheses Correction in Omic Studies. BIOSTEC (Selected Papers) 2008: 242-255
294Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLStefano Baraldi, Luca Benini, Omar Cafini, Alberto Del Bimbo, Elisabetta Farella, Giulia Gelmini, Lea Landucci, Augusto Pieracci, Nicola Torpei: Evolving tuis with smart objects for multi-context interaction. CHI Extended Abstracts 2008: 2955-2960
293Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Michele Lombardi, Michela Milano, Martino Ruggiero: A Constraint Programming Approach for Allocation and Scheduling on the CELL Broadband Engine. CP 2008: 21-35
292Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Michele Lombardi, Marco Mantovani, Michela Milano, Martino Ruggiero: Multi-stage Benders Decomposition for Optimizing Multicore Architectures. CPAIOR 2008: 36-50
291Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSimon Ogg, Enrico Valli, Bashir M. Al-Hashimi, Alexandre Yakovlev, Crescenzo D'Alessandro, Luca Benini: Serialized Asynchronous Links for NoC. DATE 2008: 1003-1008
290Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Brunelli, Luca Benini, Clemens Moser, Lothar Thiele: An Efficient Solar Energy Harvester for Wireless Sensor Nodes. DATE 2008: 104-109
289Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta, Stephen P. Boyd, Luca Benini, Giovanni De Micheli: Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization. DATE 2008: 110-115
288Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIgor Loi, Federico Angiolini, Luca Benini: Developing Mesochronous Synchronizers to Enable 3D NoCs. DATE 2008: 1414-1419
287Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLBruno Bougard, Paul Marchal, Luca Benini, Doris Keitel-Schulz, N. Checka: HOT TOPIC - 3D Integration or How to Scale in the 21st Century. DATE 2008: 1516
286Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLClemens Moser, Lothar Thiele, Davide Brunelli, Luca Benini: Robust and Low Complexity Rate Control for Solar Powered Sensors. DATE 2008: 230-235
285Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: A Scalable Algorithmic Framework for Row-Based Power-Gating. DATE 2008: 379-384
284Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFabrizio Mulas, Michele Pittau, Marco Buttu, Salvatore Carta, Andrea Acquaviva, Luca Benini, David Atienza, Giovanni De Micheli: Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures. DATE 2008: 734-739
283Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLBonesi Stefano, Davide Bertozzi, Luca Benini, Enrico Macii: Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style. DATE 2008: 967-972
282Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Calimera, Luca Benini, Enrico Macii: Optimal MTCMOS Reactivation Under Power Supply Noise and Performance Constraints. DATE 2008: 973-978
281Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Marongiu, Luca Benini, Andrea Acquaviva, Andrea Bartolini: Analysis of Power Management Strategies for a Large-Scale SoC Platform in 65nm Technology. DSD 2008: 259-266
280Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichele Magno, Davide Brunelli, Piero Zappi, Luca Benini: A Solar-powered Video Sensor Node for Energy Efficient Multimodal Surveillance. DSD 2008: 512-519
279Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGiacomo Paci, A. Nackaerts, Francky Catthoor, Luca Benini, Paul Marchal: How to Live with Uncertainties: Exploiting the Performance Benefits of Self-Timed Logic In Synchronous Design. DSD 2008: 550-557
278Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartino Ruggiero, Michele Lombardi, Michela Milano, Luca Benini: Cellflow: A Parallel Application Development Environment with Run-Time Support for the Cell BE Processor. DSD 2008: 645-650
277Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartino Ruggiero, Andrea Bartolini, Luca Benini: DBS4video: dynamic luminance backlight scaling based on multi-histogram frame characterization for video streaming application. EMSOFT 2008: 109-118
276Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPiero Zappi, Clemens Lombriser, Thomas Stiefmeier, Elisabetta Farella, Daniel Roggen, Luca Benini, Gerhard Tröster: Activity Recognition from On-Body Sensors: Accuracy-Power Trade-Off by Dynamic Sensor Selection. EWSN 2008: 17-33
275Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Brunelli, Massimo Maggiorotti, Luca Benini, Fabio Luigi Bellifemine: Analysis of Audio Streaming Capability of Zigbee Networks. EWSN 2008: 189-204
274Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLClemens Moser, Lothar Thiele, Davide Brunelli, Luca Benini: Approximate Control Design for Solar Driven Sensor Nodes. HSCC 2008: 634-637
273Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIgor Loi, Subhasish Mitra, Thomas H. Lee, Shinobu Fujita, Luca Benini: A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. ICCAD 2008: 598-602
272Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bertozzi, Michela Milano: Resource Management Policy Handling Multiple Use-Cases in MPSoC Platforms Using Constraint Programming. ICLP 2008: 470-484
271Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichele Paselli, Frederik Petré, Olivier Rousseaux, Guy Meynants, Bert Gyselinckx, Marc Engels, Luca Benini: A High-Performance Wireless Sensor Node for Industrial Control Applications. ICONS 2008: 235-240
270Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshoka Visweswara Sathanur, Andrea Calimera, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits. ISCAS 2008: 2761-2764
269Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction. ISLPED 2008: 51-56
268Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrancisco Gilabert Villamón, Simone Medardoni, Davide Bertozzi, Luca Benini, María Engracia Gómez, Pedro López, José Duato: Exploring High-Dimensional Topologies for NoC Design Through an Integrated Analysis and Synthesis Framework. NOCS 2008: 107-116
267Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating. PATMOS 2008: 42-51
266Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisabetta Farella, Omar Cafini, Luca Benini, Bruno Riccò: A smart wireless glove for gesture interaction. SIGGRAPH Posters 2008: 44
265Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIyad Al Khatib, Francesco Poletti, Davide Bertozzi, Luca Benini, Mohamed Bechara, Hasan Khalifeh, Axel Jantsch, Rustam Nabiev: A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: ECG prototype architectural design space exploration. ACM Trans. Design Autom. Electr. Syst. 13(2): (2008)
264Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDaniele Masotti, Christine Nardini, Simona Rossi, Elena Bonora, Giovanni Romeo, Stefano Volinia, Luca Benini: TOM: enhancement and extension of a tool suite for in silico approaches to multigenic hereditary disorders. Bioinformatics 24(3): 428-429 (2008)
263Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLReinaldo A. Bergamaschi, Luca Benini, Krisztián Flautner, Wido Kruijtzer, Alberto L. Sangiovanni-Vincentelli, Kazutoshi Wakabayashi: The State of ESL Design [Roundtable]. IEEE Design & Test of Computers 25(6): 510-519 (2008)
262Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers. IEEE Trans. VLSI Syst. 16(6): 639-649 (2008)
261Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShankar Mahadevan, Federico Angiolini, Jens Sparsø, Luca Benini, Jan Madsen: A Reactive and Cycle-True IP Emulator for MPSoC Exploration. IEEE Trans. on CAD of Integrated Circuits and Systems 27(1): 109-122 (2008)
260Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavid Atienza, Federico Angiolini, Srinivasan Murali, Antonio Pullini, Luca Benini, Giovanni De Micheli: Network-on-Chip design and synthesis outlook. Integration 41(3): 340-359 (2008)
259Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartino Ruggiero, Alessio Guerri, Davide Bertozzi, Michela Milano, Luca Benini: A Fast and Accurate Technique for Mapping Parallel Applications on Stream-Oriented MPSoC Platforms with Communication Awareness. International Journal of Parallel Programming 36(1): 3-36 (2008)
258Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisabetta Farella, Augusto Pieracci, Luca Benini, Laura Rocchi, Andrea Acquaviva: Interfacing human and computer with wireless body area sensor networks: the WiMoCA solution. Multimedia Tools Appl. 38(3): 337-363 (2008)
2007
257no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Naehyuck Chang, Ulrich Kremer, Christian W. Probst: Power-aware Computing Systems, 21.01. - 26.01.2007 Internationales Begegnungs- und Forschungszentrum fuer Informatik (IBFI), Schloss Dagstuhl, Germany 2007
256Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSalvatore Carta, Andrea Acquaviva, Pablo Garcia Del Valle, David Atienza, Giovanni De Micheli, Fernando Rincón, Luca Benini, Jose Manuel Mendias: Multi-processor operating system emulation framework with thermal feedback for systems-on-chip. ACM Great Lakes Symposium on VLSI 2007: 311-316
255Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Calimera, Antonio Pullini, Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology. ACM Great Lakes Symposium on VLSI 2007: 501-504
254Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarco Mantovani, Simone Leardini, Martino Ruggiero, Andrea Acquaviva, Luca Benini: A lightweight parallel java execution environment for embedded multiprocessor systems-on-chip. ACM Great Lakes Symposium on VLSI 2007: 509-512
253Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLStefano Baraldi, Alberto Del Bimbo, Lea Landucci, Nicola Torpei, Omar Cafini, Elisabetta Farella, Augusto Pieracci, Luca Benini: Introducing tangerine: a tangible interactive natural environment. ACM Multimedia 2007: 831-834
252Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGiacomo Paci, Paul Marchal, Luca Benini: Exploration of Low Power Adders for a SIMD Data Path. ASP-DAC 2007: 914-919
251Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPiero Zappi, Elisabetta Farella, Luca Benini: Enhancing the spatial resolution of presence detection in a PIR based wireless surveillance network. AVSS 2007: 295-300
250Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAliaksei Kerhet, Francesco Leonardi, Andrea Boni, Paolo Lombardo, Michele Magno, Luca Benini: Distributed video surveillance using hardware-friendly sparse large margin classifiers. AVSS 2007: 87-92
249Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Marongiu, Luca Benini, Mahmut T. Kandemir: Lightweight barrier-based parallelization support for non-cache-coherent MPSoC platforms. CASES 2007: 145-149
248Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIyad Al Khatib, Davide Bertozzi, Axel Jantsch, Luca Benini: Performance analysis and design space exploration for high-end biomedical applications: challenges and solutions. CODES+ISSS 2007: 217-226
247Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAni Nahapetian, Paolo Lombardo, Andrea Acquaviva, Luca Benini, Majid Sarrafzadeh: Dynamic reconfiguration in sensor networks with regenerative energy sources. DATE 2007: 1054-1059
246Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshoka Visweswara Sathanur, Andrea Calimera, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing. DATE 2007: 1544-1549
245Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, M. Haykel Ben Jamaa, David Atienza, Luca Benini, Giovanni De Micheli: Interactive presentation: Improving the fault tolerance of nanometric PLA designs. DATE 2007: 570-575
244Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSimone Medardoni, Martino Ruggiero, Davide Bertozzi, Luca Benini, Giovanni Strano, Carlo Pistritto: Interactive presentation: Capturing the interaction of the communication, memory and I/O subsystems in memory-centric industrial MPSoC platforms. DATE 2007: 660-665
243Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLClemens Moser, Lothar Thiele, Davide Brunelli, Luca Benini: Adaptive power management in energy harvesting systems. DATE 2007: 773-778
242Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEmiliano Dolif, Michele Lombardi, Martino Ruggiero, Michela Milano, Luca Benini: Communication-aware stochastic allocation and scheduling framework for conditional task graphs in multi-processor systems-on-chip. EMSOFT 2007: 47-56
241Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlessandro Dalla Torre, Martino Ruggiero, Luca Benini: MP-Queue: an Efficient Communication Library for Embedded Streaming Multimedia Platforms. ESTImedia 2007: 105-110
240Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Timing-driven row-based power gating. ISLPED 2007: 104-109
239Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSimon Ogg, Enrico Valli, Crescenzo D'Alessandro, Alexandre Yakovlev, Bashir M. Al-Hashimi, Luca Benini: Reducing Interconnect Cost in NoC through Serialized Asynchronous Links. NOCS 2007: 219
238Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAntonio Pullini, Federico Angiolini, Paolo Meloni, David Atienza, Srinivasan Murali, Luigi Raffo, Giovanni De Micheli, Luca Benini: NoC Design and Implementation in 65nm Technology. NOCS 2007: 273-282
237Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Naehyuck Chang, Ulrich Kremer, Christian W. Probst: 07041 Abstracts Collection - Power-aware Computing Systems. Power-aware Computing Systems 2007
236Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Naehyuck Chang, Ulrich Kremer, Christian W. Probst: 07041 Summary - Power-aware Computing Systems. Power-aware Computing Systems 2007
235Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNikil Dutt, Kaustav Banerjee, Luca Benini, Kanishka Lahiri, Sudeep Pasricha: Tutorial 5: SoC Communication Architectures: Technology, Current Practice, Research, and Trends. VLSI Design 2007: 8
234Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavid Atienza, Pablo Garcia Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida: HW-SW emulation framework for temperature-aware design in MPSoCs. ACM Trans. Design Autom. Electr. Syst. 12(3): (2007)
233Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSalvatore Carta, Andrea Alimonda, Alessandro Pisano, Andrea Acquaviva, Luca Benini: A control theoretic approach to energy-efficient pipelined computation in MPSoCs. ACM Trans. Embedded Comput. Syst. 6(4): (2007)
232Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMirko Loghi, Luca Benini, Massimo Poncino: Power macromodeling of MPSoC message passing primitives. ACM Trans. Embedded Comput. Syst. 6(4): (2007)
231Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Carlotta Guiducci, Christian Paulus: Electronic Detection of DNA Hybridization: Toward CMOS Microarrays. IEEE Design & Test of Computers 24(1): 38-48 (2007)
230Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAntonio Pullini, Federico Angiolini, Srinivasan Murali, David Atienza, Giovanni De Micheli, Luca Benini: Bringing NoCs to 65 nm. IEEE Micro 27(5): 75-85 (2007)
229Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrancesco Poletti, Antonio Poggiali, Davide Bertozzi, Luca Benini, Pol Marchal, Mirko Loghi, Massimo Poncino: Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support. IEEE Trans. Computers 56(5): 606-621 (2007)
228Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, David Atienza, Paolo Meloni, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo: Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors. IEEE Trans. VLSI Syst. 15(8): 869-880 (2007)
227Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, Paolo Meloni, Salvatore Carta, Luigi Raffo, Luca Benini: A Layout-Aware Analysis of Networks-on-Chip and Traditional Interconnects for MPSoCs. IEEE Trans. on CAD of Integrated Circuits and Systems 26(3): 421-434 (2007)
226Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, Luca Benini, Giovanni De Micheli: An Application-Specific Design Methodology for On-Chip Crossbar Generation. IEEE Trans. on CAD of Integrated Circuits and Systems 26(7): 1283-1296 (2007)
225Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRutuparna Tamhankar, Srinivasan Murali, Stergios Stergiou, Antonio Pullini, Federico Angiolini, Luca Benini, Giovanni De Micheli: Timing-Error-Tolerant Network-on-Chip Design Methodology. IEEE Trans. on CAD of Integrated Circuits and Systems 26(7): 1297-1310 (2007)
224Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSungroh Yoon, Luca Benini, Giovanni De Micheli: Co-clustering: A Versatile Tool for Data Analysis in Biomedical Informatics. IEEE Transactions on Information Technology in Biomedicine 11(4): 493-494 (2007)
223Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristian W. Probst, Ulrich Kremer, Luca Benini, Peter Schelkens: Power-aware computing systems. IJES 3(1/2): 3-7 (2007)
222Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGiacomo Paci, Francesco Poletti, Luca Benini, Paul Marchal: Exploring temperature-aware design in low-power MPSoCs. IJES 3(1/2): 43-51 (2007)
221Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLClemens Moser, Davide Brunelli, Lothar Thiele, Luca Benini: Real-time scheduling for energy harvesting sensor nodes. Real-Time Systems 37(3): 233-260 (2007)
220Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIyad Al Khatib, Davide Bertozzi, Francesco Poletti, Luca Benini, Axel Jantsch, Mohamed Bechara, Hasan Khalifeh, Mazen Hajjar, Rustam Nabiev, Sven Jonsson: Hardware/Software Architecture for Real-Time ECG Monitoring and Analysis Leveraging MPSoC Technology. T. HiPEAC 1: 239-258 (2007)
2006
219Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKimish Patel, Luca Benini, Enrico Macii, Massimo Poncino: STV-Cache: a leakage energy-efficient architecture for data caches. ACM Great Lakes Symposium on VLSI 2006: 404-409
218Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisa Ficarra, Enrico Macii, Giovanni De Micheli, Luca Benini: Computer-Aided Evaluation of Protein Expression in Pathological Tissue Images. CBMS 2006: 413-418
217Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bertozzi, Alessio Guerri, Michela Milano: Allocation, Scheduling and Voltage Scaling on Energy Aware MPSoCs. CPAIOR 2006: 44-58
216Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIyad Al Khatib, Davide Bertozzi, Francesco Poletti, Luca Benini, Axel Jantsch, Mohamed Bechara, Hasan Khalifeh, Mazen Hajjar, Rustam Nabiev, Sven Jonsson: MPSoC ECG biochip: a multiprocessor system-on-chip for real-time human heart monitoring and analysis. Conf. Computing Frontiers 2006: 21-28
215Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIyad Al Khatib, Francesco Poletti, Davide Bertozzi, Luca Benini, Mohamed Bechara, Hasan Khalifeh, Axel Jantsch, Rustam Nabiev: A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration. DAC 2006: 125-130
214Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavid Atienza, Pablo Garcia Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias: A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip. DAC 2006: 618-623
213Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, David Atienza, Luca Benini, Giovanni De Micheli: A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip. DAC 2006: 845-848
212Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, Jianjiang Ceng, Rainer Leupers, Federico Ferrari, Cesare Ferri, Luca Benini: An integrated open framework for heterogeneous MPSoC design space exploration. DATE 2006: 1145-1150
211Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, Paolo Meloni, Salvatore Carta, Luca Benini, Luigi Raffo: Contrasting a NoC and a traditional interconnect fabric with layout awareness. DATE 2006: 124-129
210Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSimon Künzli, Francesco Poletti, Luca Benini, Lothar Thiele: Combining simulation and formal methods for system-level performance analysis. DATE 2006: 236-241
209Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini: Application specific NoC design. DATE 2006: 491-495
208Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGiacomo Paci, Paul Marchal, Francesco Poletti, Luca Benini: Exploring "temperature-aware" design in low-power MPSoCs. DATE 2006: 838-843
207Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPietro Babighian, Luca Benini, Alberto Macii, Enrico Macii: Enabling fine-grain leakage management by voltage anchor insertion. DATE 2006: 868-873
206Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichele Sama, Vincenzo Pacella, Elisabetta Farella, Luca Benini, Bruno Riccò: 3dID: a low-power, low-cost hand motion capture device. DATE Designers' Forum 2006: 136-141
205Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLClemens Moser, Davide Brunelli, Lothar Thiele, Luca Benini: Lazy Scheduling for Energy Harvesting Sensor Nodes. DIPES 2006: 125-134
204Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLClemens Moser, Lothar Thiele, Luca Benini, Davide Brunelli: Real-Time Scheduling with Regenerative Energy. ECRTS 2006: 261-270
203Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, Paolo Meloni, Federico Angiolini, David Atienza, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo: Designing application-specific networks on chips with floorplan information. ICCAD 2006: 355-362
202Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, David Atienza, Srinivasan Murali, Luca Benini, Giovanni De Micheli: Reliability Support for On-Chip Memories Using Networks-on-Chip. ICCD 2006
201Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristine Nardini, Daniele Masotti, Sungroh Yoon, Enrico Macii, Michael D. Kuo, Giovanni De Micheli, Luca Benini: Mining Gene Sets for Measuring Similarities. ISCC 2006: 227-232
200Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisabetta Farella, Augusto Pieracci, Luca Benini, Andrea Acquaviva: A Wireless Body Area Sensor Network for Posture Detection. ISCC 2006: 454-459
199Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAshutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Dynamic thermal clock skew compensation using tunable delay buffers. ISLPED 2006: 162-167
198Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMirko Loghi, Massimo Poncino, Luca Benini: Synchronization-driven dynamic speed scaling for MPSoCs. ISLPED 2006: 346-349
197Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Brunelli, Elisabetta Farella, Laura Rocchi, Marco Dozza, Lorenzo Chiari, Luca Benini: Bio-feedback System for Rehabilitation Based on a Wireless Body Area Network. PerCom Workshops 2006: 527-531
196Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisabetta Farella, M. Sile O'Modhrain, Luca Benini, Bruno Riccò: Gesture Signature for Ambient Intelligence Applications: A Feasibility Study. Pervasive 2006: 288-304
195Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLManish Verma, Lars Wehmeyer, Robert Pyka, Peter Marwedel, Luca Benini: Compilation and Simulation Tool Chain for Memory Aware Energy Optimizations . SAMOS 2006: 279-288
194Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPablo Garcia Del Valle, David Atienza, Ivan Magan, Javier Garcia Flores, Esther Andres Perez, Jose Manuel Mendias, Luca Benini, Giovanni De Micheli: A Complete Multi-Processor System-on-Chip FPGA-Based Emulation Framework. VLSI-SoC 2006: 140-145
193Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, Paolo Meloni, Federico Angiolini, David Atienza, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo: Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips. VLSI-SoC 2006: 158-163
192Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMirko Loghi, Massimo Poncino, Luca Benini: Cache coherence tradeoffs in shared-memory MPSoCs. ACM Trans. Embedded Comput. Syst. 5(2): 383-407 (2006)
191Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKimish Patel, Luca Benini, Enrico Macii, Massimo Poncino: Reducing Conflict Misses by Application-Specific Reconfigurable Indexing. IEEE Trans. on CAD of Integrated Circuits and Systems 25(12): 2626-2637 (2006)
190Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSungroh Yoon, Luca Benini, Giovanni De Micheli: A Pattern-Mining Method for High-Throughput Lab-on-a-Chip Data Analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 25(2): 358-377 (2006)
189Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavid Atienza, Stylianos Mamagkakis, Francesco Poletti, Jose Manuel Mendias, Francky Catthoor, Luca Benini, Dimitrios Soudris: Efficient system-level prototyping of power-aware dynamic memory managers for embedded systems. Integration 39(2): 113-130 (2006)
188Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDaniele Masotti, Elisa Ficarra, Enrico Macii, Luca Benini: Optimized Technique for Dna Structural Properties Discovering. International Journal on Artificial Intelligence Tools 15(5): 695-710 (2006)
187Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKimish Patel, Enrico Macii, Massimo Poncino, Luca Benini: Energy-Efficient Value Based Selective Refresh for Embedded DRAMS. J. Low Power Electronics 2(1): 70-79 (2006)
186Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Elisabetta Farella, Carlotta Guiducci: Wireless sensor networks: Enabling technology for ambient intelligence. Microelectronics Journal 37(12): 1639-1649 (2006)
185Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSimona Rossi, Daniele Masotti, Christine Nardini, Elena Bonora, Giovanni Romeo, Enrico Macii, Luca Benini, Stefano Volinia: TOM: a web-based integrated approach for identification of candidate disease genes. Nucleic Acids Research 34(Web-Server-Issue): 285-292 (2006)
2005
184no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Ulrich Kremer, Christian W. Probst, Peter Schelkens: Power-aware Computing Systems, 3.-8. April 2005 Internationales Begegnungs- und Forschungszentrum für Informatik (IBFI), Schloss Dagstuhl, Germany 2005
183Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMirko Loghi, Martin Letis, Luca Benini, Massimo Poncino: Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors. ACM Great Lakes Symposium on VLSI 2005: 276-281
182Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPietro Babighian, Luca Benini, Alberto Macii, Enrico Macii: Low-overhead state-retaining elements for low-leakage MTCMOS design. ACM Great Lakes Symposium on VLSI 2005: 367-370
181Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, Luca Benini, Giovanni De Micheli: Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees. ASP-DAC 2005: 27-32
180Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bertozzi, Alessio Guerri, Michela Milano: Allocation and Scheduling for MPSoCs via Decomposition and No-Good Generation. CP 2005: 107-121
179Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLClaudio Stagni, Carlotta Guiducci, Massimo Lanzoni, Luca Benini, Bruno Riccò: Hardware-Software Design of a Smart Sensor for Fully-Electronic DNA Hybridization Detection. DATE 2005: 198-203
178Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMartino Ruggiero, Andrea Acquaviva, Davide Bertozzi, Luca Benini: Application-Specific Power-Aware Workload Allocation for Voltage Scalable MPSoC Platforms. ICCD 2005: 87-93
177Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisabetta Farella, Augusto Pieracci, Davide Brunelli, Luca Benini, Bruno Riccò, Andrea Acquaviva: Design and Implementation of WiMoCA Node for a Body Area Wireless Sensor Network. ICW/ICHSN/ICMCS/SENET 2005: 342-347
176Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bertozzi, Alessio Guerri, Michela Milano: Allocation and Scheduling for MPSoCs via decomposition and no-good generation. IJCAI 2005: 1517-1518
175Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNicolas Genko, David Atienza, Giovanni De Micheli, Luca Benini, Jose Manuel Mendias, Román Hermida, Francky Catthoor: A novel approach for network on chip emulation. ISCAS (3) 2005: 2365-2368
174no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, Paolo Meloni, Luca Benini, Salvatore Carta, Luigi Raffo: Networks on Chips: A Synthesis Perspective. PARCO 2005: 745-752
173Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKimish Patel, Luca Benini, Enrico Macii, Massimo Poncino: Energy-Efficient Value-Based Selective Refresh for Embedded DRAMs. PATMOS 2005: 466-476
172Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Ulrich Kremer, Christian W. Probst, Peter Schelkens: 05141 Abstracts Collection - Power-aware Computing Systems. Power-aware Computing Systems 2005
171Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Ulrich Kremer, Christian W. Probst, Peter Schelkens: 05141 Summary - Power-aware Computing Systems. Power-aware Computing Systems 2005
170Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini: Advanced power management of SoC platforms. SBCCI 2005: 1
169Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAntonio Pullini, Federico Angiolini, Davide Bertozzi, Luca Benini: Fault tolerance overhead in network-on-chip flow control schemes. SBCCI 2005: 224-229
168Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini: Energy efficient NoC design. SBCCI 2005: 6
167Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Sandeep K. Shukla, Rajesh K. Gupta: Architectural, System Level and Protocol Level Techniques for Power Optimization for Networked Embedded Systems. VLSI Design 2005: 18-
166Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShankar Mahadevan, Federico Angiolini, Jens Sparsø, Luca Benini, Jan Madsen: A Traffic Injection Methodology with Support for System-Level Synchronization. VLSI-SoC 2005: 145-161
165no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bertozzi, Luca Benini, Giovanni De Micheli: Network On-Chip Design for Gigascale Systems-on-Chip. The Industrial Information Technology Handbook 2005: 0-
164Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSrinivasan Murali, Theo Theocharides, Narayanan Vijaykrishnan, Mary Jane Irwin, Luca Benini, Giovanni De Micheli: Analysis of Error Recovery Schemes for Networks on Chips. IEEE Design & Test of Computers 22(5): 434-442 (2005)
163Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisabetta Farella, Davide Brunelli, Luca Benini, Bruno Riccò, Maria Elena Bonfigli: Pervasive Computing for Interactive Virtual Heritage. IEEE MultiMedia 12(3): 46-58 (2005)
162Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bertozzi, Antoine Jalabert, Srinivasan Murali, Rutuparna Tamhankar, Stergios Stergiou, Luca Benini, Giovanni De Micheli: NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip. IEEE Trans. Parallel Distrib. Syst. 16(2): 113-129 (2005)
161Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPietro Babighian, Luca Benini, Enrico Macii: A scalable algorithm for RTL insertion of gated clocks based on ODCs computation. IEEE Trans. on CAD of Integrated Circuits and Systems 24(1): 29-42 (2005)
160Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, Luca Benini, Alberto Caprara: An efficient profile-based algorithm for scratchpad memory partitioning. IEEE Trans. on CAD of Integrated Circuits and Systems 24(11): 1660-1676 (2005)
159Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bertozzi, Luca Benini, Giovanni De Micheli: Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans. on CAD of Integrated Circuits and Systems 24(6): 818-831 (2005)
158Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisa Ficarra, Luca Benini, Enrico Macii, Giampaolo Zuccheri: Automated DNA fragments recognition and sizing through AFM image processing. IEEE Transactions on Information Technology in Biomedicine 9(4): 508-517 (2005)
157Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSungroh Yoon, Christine Nardini, Luca Benini, Giovanni De Micheli: Discovering Coherent Biclusters from Gene Expression Data Using Zero-Suppressed Binary Decision Diagrams. IEEE/ACM Trans. Comput. Biology Bioinform. 2(4): 339-354 (2005)
156Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEmanuele Lattanzi, Aman Gayasen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Luca Benini, Alessandro Bogliolo: Improving Java performance using dynamic method migration on FPGAs. IJES 1(3/4): 228-236 (2005)
155no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bertozzi, Alessio Guerri, Michela Milano, Francesco Poletti: Measuring Efficiency and Executability of Allocation and Scheduling in Multi-Processor Systems-on-Chip. Intelligenza Artificiale 2(3): 13-20 (2005)
154Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bertozzi, Alessandro Bogliolo, Francesco Menichelli, Mauro Olivieri: MPARM: Exploring the Multi-Processor SoC Design Space with SystemC. VLSI Signal Processing 41(2): 169-182 (2005)
2004
153Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMirko Loghi, Massimo Poncino, Luca Benini: Cycle-accurate power analysis for multiprocessor systems-on-a-chip. ACM Great Lakes Symposium on VLSI 2004: 410-406
152Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDaniele Masotti, Elisa Ficarra, Enrico Macii, Luca Benini: Techniques for Enhancing Computation of DNA Curvature Molecules. BIBE 2004: 22-29
151Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSungroh Yoon, Christine Nardini, Luca Benini, Giovanni De Micheli: Enhanced pClustering and Its Applications to Gene Expression Data. BIBE 2004: 275-282
150Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, Francesco Menichelli, Alberto Ferrero, Luca Benini, Mauro Olivieri: A post-compiler approach to scratchpad mapping of code. CASES 2004: 259-267
149Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrancesco Poletti, Paul Marchal, David Atienza, Luca Benini, Francky Catthoor, Jose Manuel Mendias: An integrated hardware/software approach for run-time scratchpad management. DAC 2004: 238-243
148Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFrancesco Menichelli, Mauro Olivieri, Luca Benini, Monica Donno, Labros Bisdounis: A Simulation-Based Power-Aware Architecture Exploration of a Multiprocessor System-on-Chip Design. DATE 2004: 312-317
147Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPietro Babighian, Luca Benini, Enrico Macii: A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks. DATE 2004: 500-505
146Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alessandro Ivaldi, Alberto Macii, Enrico Macii: Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning. DATE 2004: 698-699
145Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPietro Babighian, Luca Benini, Enrico Macii: Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating. DATE 2004: 720-723
144Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMirko Loghi, Federico Angiolini, Davide Bertozzi, Luca Benini, Roberto Zafalon: Analyzing On-Chip Communication in a MPSoC Environment. DATE 2004: 752-757
143Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAntoine Jalabert, Srinivasan Murali, Luca Benini, Giovanni De Micheli: ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip. DATE 2004: 884-889
142Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKimish Patel, Enrico Macii, Luca Benini, Massimo Poncino: Reducing cache misses by application-specific re-configurable indexing. ICCAD 2004: 125-130
141Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMirko Loghi, Luca Benini, Massimo Poncino: Analyzing Power Consumption of Message Passing Primitives in a Single-Chip Multiprocessor. ICCD 2004: 393-396
140Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEmanuele Lattanzi, Aman Gayasen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Luca Benini, Alessandro Bogliolo: Improving Java Performance Using Dynamic Method Migration on FPGAs. IPDPS 2004
139Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPietro Babighian, Luca Benini, Alberto Macii, Enrico Macii: Post-layout leakage power minimization based on distributed sleep transistor insertion. ISLPED 2004: 138-143
138Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPaul Marchal, Francky Catthoor, Davide Bruni, Luca Benini, José Ignacio Gómez, Luis Piñuel: Integrated Task Scheduling and Data Assignment for SDRAMs in Dynamic Applications. IEEE Design & Test of Computers 21(5): 378-387 (2004)
137Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Francesco Menichelli, Mauro Olivieri: A Class of Code Compression Schemes for Reducing Power Consumption in Embedded Microprocessor Systems. IEEE Trans. Computers 53(4): 467-482 (2004)
136no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bruni, Alberto Macii, Enrico Macii: Memory energy minimization by data compression: algorithms, architectures and implementation. IEEE Trans. VLSI Syst. 12(3): 255-268 (2004)
135Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini: Guest Editorial. Integration 38(1): 1-2 (2004)
134Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTerry Tao Ye, Luca Benini, Giovanni De Micheli: Packetization and routing analysis of on-chip multiprocessor networks. Journal of Systems Architecture 50(2-3): 81-104 (2004)
2003
133Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii, Elvira Omerbegovic, Massimo Poncino, Fabrizio Pro: A novel architecture for power maskable arithmetic units. ACM Great Lakes Symposium on VLSI 2003: 136-140
132Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFederico Angiolini, Luca Benini, Alberto Caprara: Polynomial-time algorithm for on-chip scratchpad memory partitioning. CASES 2003: 318-326
131Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii, Elvira Omerbegovic, Fabrizio Pro, Massimo Poncino: Energy-aware design techniques for differential power analysis protection. DAC 2003: 36-41
130Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMonica Donno, Alessandro Ivaldi, Luca Benini, Enrico Macii: Clock-tree power optimization based on RTL clock-gating. DAC 2003: 622-627
129Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTwan Basten, Luca Benini, Anantha Chandrakasan, Menno Lindwer, Jie Liu, Rex Min, Feng Zhao: Scaling into Ambient Intelligence. DATE 2003: 10076-10083
128Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTerry Tao Ye, Luca Benini, Giovanni De Micheli: Packetized On-Chip Interconnect Communication Analysis for MPSoC. DATE 2003: 10344-10349
127Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPaul Marchal, José Ignacio Gómez, Luis Piñuel, Davide Bruni, Luca Benini, Francky Catthoor, Henk Corporaal: SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms. DATE 2003: 10516-10523
126Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bertozzi, Anand Raghunathan, Luca Benini, Srivaths Ravi: Transport Protocol Optimization for Energy Efficient Wireless Embedded Systems. DATE 2003: 10706-10713
125Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMatteo Dall'Osso, Gianluca Biccari, Luca Giovannini, Davide Bertozzi, Luca Benini: xpipes: a Latency Insensitive Parameterized Network-on-chip Architecture For Multi-Processor SoCs. ICCD 2003: 536-
124Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Angelo Galati, Alberto Macii, Enrico Macii, Massimo Poncino: Energy-efficient data scrambling on memory-processor interfaces. ISLPED 2003: 26-29
123Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bruni, Alberto Macii, Enrico Macii: Hardw are Implementation of Data Compression Algorithms for Memory Energy Optimization. ISVLSI 2003: 250-251
122Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLB. Arts, N. van der Eng, Marc J. M. Heijligers, H. Munk, Frans Theeuwen, Luca Benini, Enrico Macii, A. Milia, Roberto Maro, A. Bellu: Statistical Power Estimation of Behavioral Descriptions. PATMOS 2003: 197-207
121Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Massimo Poncino: Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques. ACM Trans. Embedded Comput. Syst. 2(1): 5-32 (2003)
120Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bertozzi, Davide Bruni, Nicola Drago, Franco Fummi, Massimo Poncino: SystemC Cosimulation and Emulation of Multiprocessor SoC Designs. IEEE Computer 36(4): 53-59 (2003)
119Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bruni, Alberto Macii, Enrico Macii, Massimo Poncino: Discharge Current Steering for Battery Lifetime Optimization. IEEE Trans. Computers 52(8): 985-995 (2003)
118Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLVivek De, Luca Benini: Guest editorial. IEEE Trans. VLSI Syst. 11(5): 753-754 (2003)
117Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Scheduling battery usage in mobile systems. IEEE Trans. VLSI Syst. 11(6): 1136-1143 (2003)
2002
116Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFranco Gatti, Andrea Acquaviva, Luca Benini, Bruno Riccò: Low Power Control Techniques For TFT LCD Displays. CASES 2002: 218-224
115Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bruni, Luca Benini, Bruno Riccò: System lifetime extension by battery management: an experimental work. CASES 2002: 232-237
114Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTerry Tao Ye, Giovanni De Micheli, Luca Benini: Analysis of power consumption on switch fabrics in network routers. DAC 2002: 524-529
113Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bertozzi, Luca Benini, Giovanni De Micheli: Low Power Error Resilient Encoding for On-Chip Data Buses. DATE 2002: 102-109
112Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGiovanni De Micheli, Luca Benini: Networks on Chip: A New Paradigm for Systems on Chip Design. DATE 2002: 418-419
111Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bruni, Alberto Macii, Enrico Macii: Hardware-Assisted Data Compression for Energy Minimization in Systems with Embedded Processors. DATE 2002: 449-450
110Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bertozzi, Davide Bruni, Nicola Drago, Franco Fummi, Massimo Poncino: Legacy SystemC Co-Simulation of Multi-Processor Systems-on-Chip. ICCD 2002: 494-499
109Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLElisa Ficarra, Luca Benini, Bruno Riccò, Giampaolo Zuccheri: Automated DNA sizing in atomic force microscope images. ISBI 2002: 453-456
108Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bertozzi, Luca Benini, Bruno Riccò: Energy-efficient and reliable low-swing signaling for on-chip buses based on redundant coding. ISCAS (1) 2002: 93-96
107Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Davide Bruni, Bruno Riccò, Alberto Macii, Enrico Macii: An adaptive data compression scheme for memory traffic minimization in processor-based systems. ISCAS (4) 2002: 866-869
106Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Discharge current steering for battery lifetime optimization. ISLPED 2002: 118-123
105Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bertozzi, Luca Benini, Bruno Riccò: Parametric timing and power macromodels for high level simulation of low-swing interconnects. ISLPED 2002: 307-312
104Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEui-Young Chung, Giovanni De Micheli, Luca Benini: Contents provider-assisted dynamic voltage scaling for low energy multimedia applications. ISLPED 2002: 42-47
103Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii: Offline Data Profiling Techniques to Enhance Memory Compression in Embedded Systems. PATMOS 2002: 314-322
102Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli: Networks on Chips: A New SoC Paradigm. IEEE Computer 35(1): 70-78 (2002)
101Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcello Dalpasso, Alessandro Bogliolo, Luca Benini: Virtual Simulation of Distributed IP-Based Designs. IEEE Design & Test of Computers 19(5): 92-104 (2002)
100Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEui-Young Chung, Luca Benini, Alessandro Bogliolo, Yung-Hsiang Lu, Giovanni De Micheli: Dynamic Power Management for Nonstationary Service Requests. IEEE Trans. Computers 51(11): 1345-1361 (2002)
99Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYung-Hsiang Lu, Luca Benini, Giovanni De Micheli: Power-aware operating systems for interactive systems. IEEE Trans. VLSI Syst. 10(2): 119-134 (2002)
98Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Luca Macchiarulo, Alberto Macii, Massimo Poncino: Layout-driven memory synthesis for embedded systems-on-chip. IEEE Trans. VLSI Syst. 10(2): 96-105 (2002)
97Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Minimizing memory access energy in embedded systems by selective instruction compression. IEEE Trans. VLSI Syst. 10(5): 521-531 (2002)
96Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYung-Hsiang Lu, Luca Benini, Giovanni De Micheli: Dynamic frequency scaling with buffer insertion for mixed workloads. IEEE Trans. on CAD of Integrated Circuits and Systems 21(11): 1284-1305 (2002)
95Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEui-Young Chung, Luca Benini, Giovanni De Micheli, Gabriele Luculli, Marco Carilli: Value-sensitive automatic code specialization for embedded software. IEEE Trans. on CAD of Integrated Circuits and Systems 21(9): 1051-1067 (2002)
2001
94Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Acquaviva, Luca Benini, Bruno Riccò: Processor frequency setting for energy minimization of streaming multimedia application. CODES 2001: 249-253
93Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTajana Simunic, Luca Benini, Andrea Acquaviva, Peter W. Glynn, Giovanni De Micheli: Dynamic Voltage Scaling and Power Management for Portable Systems. DAC 2001: 524-529
92Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavide Bruni, Alessandro Bogliolo, Luca Benini: Statistical Design Space Exploration for Application-Specific Unit Synthesis. DAC 2001: 641-646
91Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Luca Macchiarulo, Alberto Macii, Enrico Macii, Massimo Poncino: From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip. DAC 2001: 784-789
90Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giuliano Castelli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Extending lifetime of portable systems by battery scheduling. DATE 2001: 197-203
89Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Acquaviva, Luca Benini, Bruno Riccò: An adaptive algorithm for low-power streaming multimedia processing. DATE 2001: 273-279
88Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLG. Martin, Ralf Seepold, Ting Zhang, Luca Benini, Giovanni De Micheli: Component selection and matching for IP-based design. DATE 2001: 40-46
87Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Macchiarulo, Luca Benini, Enrico Macii: On-the-fly layout generation for PTL macrocells. DATE 2001: 546-551
86Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Alberto Nannarelli: Cached-code compression for energy minimization in embedded processors. ISLPED 2001: 322-327
85Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEui-Young Chung, Luca Benini, Giovanni De Micheli: Automatic source code specialization for energy reduction. ISLPED 2001: 80-83
84no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEui-Young Chung, Luca Benini, Giovanni De Micheli: Source code transformation based on software cost analysis. ISSS 2001: 153-158
83no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli: Powering networks on chips. ISSS 2001: 33-38
82Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giuliano Castelli, Alberto Macii, Riccardo Scarsi: Battery-Driven Dynamic Power Management. IEEE Design & Test of Computers 18(2): 53-60 (2001)
81Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTajana Simunic, Luca Benini, Giovanni De Micheli: Energy-efficient design of battery-powered embedded systems. IEEE Trans. VLSI Syst. 9(1): 15-28 (2001)
80Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giuliano Castelli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Discrete-time battery models for system-level low-power design. IEEE Trans. VLSI Syst. 9(5): 630-640 (2001)
79Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Acquaviva, Luca Benini, Bruno Riccò: Software-controlled processor speed setting for low-power streamingmultimedia. IEEE Trans. on CAD of Integrated Circuits and Systems 20(11): 1283-1292 (2001)
78Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTajana Simunic, Luca Benini, Peter W. Glynn, Giovanni De Micheli: Event-driven power management. IEEE Trans. on CAD of Integrated Circuits and Systems 20(7): 840-857 (2001)
77Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino: Synthesis of power-managed sequential components based oncomputational kernel extraction. IEEE Trans. on CAD of Integrated Circuits and Systems 20(9): 1118-1131 (2001)
76Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Acquaviva, Luca Benini, Bruno Riccò: Energy characterization of embedded real-time operating systems. SIGARCH Computer Architecture News 29(5): 13-18 (2001)
2000
75Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alessandro Bogliolo, Enrico Macii, Massimo Poncino, Mihai Surmei: Regression-based RTL power models for controllers. ACM Great Lakes Symposium on VLSI 2000: 147-152
74Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Marco Ferrero, Alberto Macii, Enrico Macii, Massimo Poncino: Supporting system-level power exploration for DSP applications. ACM Great Lakes Symposium on VLSI 2000: 17-22
73Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYung-Hsiang Lu, Luca Benini, Giovanni De Micheli: Low-power task scheduling for multiple devices. CODES 2000: 39-43
72Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Synthesis of application-specific memories for power optimization in embedded systems. DAC 2000: 300-303
71Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcello Dalpasso, Alessandro Bogliolo, Luca Benini: Hardware/software IP protection. DAC 2000: 593-596
70Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYung-Hsiang Lu, Eui-Young Chung, Tajana Simunic, Giovanni De Micheli, Luca Benini: Quantitative Comparison of Power Management Algorithms. DATE 2000: 20-26
69Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giuliano Castelli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: A Discrete-Time Battery Model for High-Level Power Estimation. DATE 2000: 35-
68Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTajana Simunic, Luca Benini, Peter W. Glynn, Giovanni De Micheli: Dynamic Power Management of Laptop Hard Disk. DATE 2000: 736
67Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcello Dalpasso, Alessandro Bogliolo, Luca Benini, Michele Favalli: Virtual Fault Simulation of Distributed IP-Based Designs. DATE 2000: 99-
66Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYung-Hsiang Lu, Luca Benini, Giovanni De Micheli: Operating-system directed power reduction. ISLPED 2000: 37-42
65Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Massimo Poncino: A recursive algorithm for low-power memory partitioning. ISLPED 2000: 78-83
64Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYung-Hsiang Lu, Giovanni De Micheli, Luca Benini: Requester-Aware Power Reduction. ISSS 2000: 18-24
63Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTajana Simunic, Giovanni De Micheli, Luca Benini, Mat Hans: Source Code Optimization and Profiling of Energy Consumption in Embedded Systems. ISSS 2000: 193-199
62Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giuliano Castelli, Alberto Macii, Enrico Macii, Riccardo Scarsi: Battery-Driven Dynamic Power Management of Portable Systems. ISSS 2000: 25-33
61Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTajana Simunic, Luca Benini, Peter W. Glynn, Giovanni De Micheli: Dynamic power management for portable systems. MOBICOM 2000: 11-19
60Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli: System-level power optimization: techniques and tools. ACM Trans. Design Autom. Electr. Syst. 5(2): 115-192 (2000)
59Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli: Synthesis of low-power selectively-clocked systems from high-level specification. ACM Trans. Design Autom. Electr. Syst. 5(3): 311-321 (2000)
58Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlessandro Bogliolo, Luca Benini, Giovanni De Micheli: Regression-based RTL power modeling. ACM Trans. Design Autom. Electr. Syst. 5(3): 337-372 (2000)
57Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Increasing Energy Efficiency of Embedded Systems by Application-Specific Memory Hierarchy Generation. IEEE Design & Test of Computers 17(2): 74-85 (2000)
56Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Glitch power minimization by selective gate freezing. IEEE Trans. VLSI Syst. 8(3): 287-298 (2000)
55Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alessandro Bogliolo, Giovanni De Micheli: A survey of design techniques for system-level dynamic power management. IEEE Trans. VLSI Syst. 8(3): 299-316 (2000)
54Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi: A multilevel engine for fast power simulation of realistic inputstreams. IEEE Trans. on CAD of Integrated Circuits and Systems 19(4): 459-472 (2000)
53Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Massimo Poncino, Riccardo Scarsi: Architectures and synthesis algorithms for power-efficient businterfaces. IEEE Trans. on CAD of Integrated Circuits and Systems 19(9): 969-980 (2000)
1999
52Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses. DAC 1999: 128-133
51Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli, Enrico Macii, Giuseppe Odasso, Massimo Poncino: Kernel-Based Power Optimization of RTL Components: Exact and Approximate Extraction Algorithms. DAC 1999: 247-252
50Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcello Dalpasso, Alessandro Bogliolo, Luca Benini: Virtual Simulation of Distributed IP-based Designs. DAC 1999: 50-55
49Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTajana Simunic, Luca Benini, Giovanni De Micheli: Cycle-Accurate Simulation of Energy Consumption in Embedded Systems. DAC 1999: 867-872
48Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLuca Benini, Giovanni De Micheli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Glitch Power Minimization by Gate Freezing. DATE 1999: 163-167
47Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMarcello Dalpasso, Alessandro Bogliolo, Luca Benini: Specification and Validation of Distributed IP-Based Designs with JavaCAD. DATE 1999: 684-688
46Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEui-Young Chung, Luca Benini, Alessandro Bogliolo, Giovanni De Micheli: Dynamic Power Management for non-stationary service requests. DATE 1999: 77-81
45