Alexandre Schmid Coauthor index DBLP Vis pubzone.org

List of publications from the DBLP Bibliography Server - FAQ
Ask others: ACM DL/Guide - CiteSeerX - CSB - MetaPress - Google - Bing - Yahoo

DBLP keys2009
17Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlexandre Schmid, Sanjay Goel, Wei Wang, Valeriu Beiu, Sandro Carrara: Nano-Net - 4th International ICST Conference, Nano-Net 2009, Lucerne, Switzerland, October 18-20, 2009. Proceedings Springer 2009
16Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMilos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici: Optimization of Nanoelectronic Systems Reliability Under Massive Defect Density Using Distributed R-fold Modular Redundancy (DRMR). DFT 2009: 340-348
15Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMilos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici: Optimization of Nanoelectronic Systems Reliability by Reducing Logic Depth. NanoNet 2009: 70-75
14Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNeil Joye, Alexandre Schmid, Yusuf Leblebici: Electrical modeling of the cell-electrode interface for recording neural activity from high-density microelectrode arrays. Neurocomputing 73(1-3): 250-259 (2009)
2008
13Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLCarlotta Guiducci, Alexandre Schmid, Frank K. Gürkaynak, Yusuf Leblebici: Novel Front-End Circuit Architectures for Integrated Bio-Electronic Interfaces. DATE 2008: 1328-1333
2007
12Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMilos Stanisavljevic, Frank K. Gürkaynak, Alexandre Schmid, Yusuf Leblebici, Maria Gabrani: Design and realization of a fault-tolerant 90nm CMOS cryptographic engine capable of performing under massive defect density. ACM Great Lakes Symposium on VLSI 2007: 204-207
2006
11Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMilos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici: Fault-Tolerance of Robust Feed-Forward Architecture Using Single-Ended and Differential Deep-Submicron Circuits Under Massive Defect Density. IJCNN 2006: 2771-2778
2005
10Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSorin Cotofana, Alexandre Schmid, Yusuf Leblebici, Adrian M. Ionescu, Oliver Soffke, Peter Zipf, Manfred Glesner, A. Rubio: CONAN - A Design Exploration Framework for Reliable Nano-Electronics. ASAP 2005: 260-267
9Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTakahide Oya, Tetsuya Asai, Yoshihito Amemiya, Alexandre Schmid, Yusuf Leblebici: Single-electron circuit for inhibitory spiking neural network with fault-tolerant architecture. ISCAS (3) 2005: 2535-2538
8Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMilos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici: A Methodology for Reliability Enhancement of Nanometer-Scale Digital Systems Based on a-priori Functional Fault- Tolerance Analysis. VLSI-SoC 2005: 111-125
7Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTakahide Oya, Alexandre Schmid, Tetsuya Asai, Yusuf Leblebici, Yoshihito Amemiya: On the fault tolerance of a clustered single-electron neural network for differential enhancement. IEICE Electronic Express 2(3): 76-80 (2005)
2004
6Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlexandre Schmid, Yusuf Leblebici: A Highly Fault Tolerant PLA Architecture for Failure-Prone Nanometer CMOS and Novel Quantum Device Technologies. DFT 2004: 39-47
5Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlexandre Schmid, Yusuf Leblebici: Robust and fault-tolerant circuit design for nanometer-scale devices and single-electron transistors. ISCAS (3) 2004: 685-688
4no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLStéphane Badel, Alexandre Schmid, Yusuf Leblebici: Mixed analog-digital image processing circuit based on Hamming artificial neural network architecture. ISCAS (5) 2004: 780-783
3Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlexandre Schmid, Yusuf Leblebici: Robust circuit and system design methodologies for nanometer-scale devices and single-electron transistors. IEEE Trans. VLSI Syst. 12(11): 1156-1166 (2004)
2003
2Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLStéphane Badel, Alexandre Schmid, Yusuf Leblebici: VLSI Realization of a Two-Dimensional Hamming Distance Comparator ANN for Image Processing Applications. ESANN 2003: 445-450
1999
1Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlexandre Schmid, D. Bowler, R. Baumgartner, Yusuf Leblebici: A novel analog-digital flash converter architecture based on capacitive threshold gates. ISCAS (2) 1999: 172-175

Coauthor Index

1Yoshihito Amemiya [7] [9]
2Tetsuya Asai [7] [9]
3Stéphane Badel [2] [4]
4R. Baumgartner [1]
5Valeriu Beiu [17]
6D. Bowler [1]
7Sandro Carrara [17]
8Sorin Cotofana (Sorin Dan Cotofana) [10]
9Maria Gabrani [12]
10Manfred Glesner [10]
11Sanjay Goel [17]
12Carlotta Guiducci [13]
13Frank K. Gürkaynak [12] [13]
14Adrian M. Ionescu [10]
15Neil Joye [14]
16Yusuf Leblebici [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16]
17Takahide Oya [7] [9]
18A. Rubio [10]
19Oliver Soffke [10]
20Milos Stanisavljevic [8] [11] [12] [15] [16]
21Wei Wang [17]
22Peter Zipf [10]

Colors in the list of coauthors

Copyright © Mon Mar 15 21:39:48 2010 by Michael Ley (ley@uni-trier.de)