Zhihua Wang Coauthor index DBLP Vis pubzone.org

List of publications from the DBLP Bibliography Server - FAQ
Ask others: ACM DL/Guide - CiteSeerX - CSB - MetaPress - Google - Bing - Yahoo

DBLP keys2008
45Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhihua Wang, Songping Mai, Chun Zhang: Power Issues on Circuit Design for Cochlear Implants. DELTA 2008: 163-166
44Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMing Liu, Hong Chen, Run Chen, Zhihua Wang: Low-power IC design for a wireless BCI system. ISCAS 2008: 1560-1563
43Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTongqiang Gao, Chun Zhang, Baoyong Chi, Zhihua Wang: An improved method of power control with CMOS class-E power amplifiers. ISCAS 2008: 2306-2309
42Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLBaoyong Chi, Chun Zhang, Zhihua Wang: Bandwidth extension for ultra-wideband CMOS low-noise amplifiers. ISCAS 2008: 968-971
41Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShuilong Huang, Zhihua Wang: System Design Considerations of Highly-Integrated SigmaDelta fractional-n Frequency synthesizer. Journal of Circuits, Systems, and Computers 17(2): 169-181 (2008)
2007
40Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShuilong Huang, Huainan Ma, Zhihua Wang: Modeling and simulation to the design of SigmaDelta fractional-N frequency synthesizer. DATE 2007: 291-296
39Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLXiaowen Li, Xinkai Chen, Xiang Xie, Guolin Li, Li Zhang, Chun Zhang, Zhihua Wang: A Low Power, Fully Pipelined JPEG-LS Encoder for Lossless Image Compression. ICME 2007: 1906-1909
38Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLXiaowen Li, Xinkai Chen, Xiang Xie, Guolin Li, Li Zhang, Zhihua Wang: Pre-Processing and Vector Quantization Based Approach for CFA Data Compression in Wireless Endoscopy Capsule. ISBI 2007: 1172-1175
37Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLXiaoWen Li, Xiang Xie, Xinkai Chen, Guolin Li, Li Zhang, Zhihua Wang, Hong Chen: Design and Implementation of a Low Complexity Near-lossless Image Compression Method for Wireless Endoscopy Capsule System. ISCAS 2007: 1321-1324
36Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLXinkai Chen, Guolin Li, Xiang Xie, XiaoWen Li, Zhihua Wang, Hong Chen: A Low Power Digital Baseband for Wireless Endoscope Capsule. ISCAS 2007: 2355-2358
35Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLi Zhang, Baoyong Chi, Zhihua Wang, Hongyi Chen, Jinke Yao, Ende Wu: A 2-GHz 6.1-mA Fully-Differential CMOS Phase-Locked Loop. ISCAS 2007: 2447-2450
34Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYike Cui, Baoyong Chi, Minjie Liu, Yulei Zhang, Yongming Li, Zhihua Wang, Patrick Chiang: Process Variation Compensation of a 2.4GHz LNA in 0.18um CMOS Using Digitally Switchable Capacitance. ISCAS 2007: 2562-2565
33Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLBaoyong Chi, Xueyi Yu, Woogeun Rhee, Zhihua Wang: A Fractional-N PLL for Digital Clock Generation With an FIR-Embedded Frequency Divider. ISCAS 2007: 3051-3054
32Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJingbo Duan, Fule Li, Liyuan Liu, Dongmei Li, Yongming Li, Zhihua Wang: A Pipelined A/D Conversion Technique with Low INL and DNL. ISCAS 2007: 3391-3394
31Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFule Li, Zhihua Wang, Dongmei Li: An Incomplete Settling Technique for Pipelined Analog-to-Digital Converters. ISCAS 2007: 3590-3593
30Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTongqiang Gao, Dongmei Li, Baoyong Chi, Zhihua Wang: A CMOS class-E Power Amplifiers with Power Control. ISCAS 2007: 3900-3903
29Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShuilong Huang, Zhihua Wang: A dual-slope PFD/CP frequency synthesizer architecture with an adaptive self-tuning algorithm. ISCAS 2007: 3924-3927
28Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHong Chen, Chen Jia, Chun Zhang, Zhihua Wang, Chunsheng Liu: Power Harvesting With PZT Ceramics. ISCAS 2007: 557-560
27Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYinghui Zhang, Zhiwei Wang, Qinghua Zeng, Haolei Yang, Zhihua Wang: Application of the Agamogenetic Algorithm to Solve the Traveling Salesman Problem. LSMS (1) 2007: 135-143
26Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRun Chen, Liyuan Liu, Dongmei Li, Zhihua Wang: Full custom design of a three-stage amplifier with 5500MHz·pF/mW Performance in 0.18 mum CMO S. VLSI-SoC 2007: 242-247
25Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLBaoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang: Low power high data rate wireless endoscopy transceiver. Microelectronics Journal 38(10-11): 1070-1081 (2007)
2006
24Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDingkun Du, Yongming Li, Zhihua Wang, Seeteck Tan: An Active-RC Complex Filter with Mixed Signal Tuning System for Low-IF Receiver. APCCAS 2006: 1031-1034
23Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKun Yang, Chun Zhang, Zhihua Wang: Design of Adaptive Deblocking Filter for H.264/AVC Decoder SOC. APCCAS 2006: 109-112
22Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChangming Ma, Chun Zhang, Zhihua Wang: Power Analysis for the MOS AC/DC Rectifier of Passive RFID Transponders. APCCAS 2006: 1350-1353
21Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShuilong Huang, Zhihua Wang, Huainan Ma: A Fast 1.9 GHz Fractional-N/Integer Frequency Synthesizer with a Self-tuning Algorithm. APCCAS 2006: 203-206
20Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLBaoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang: A 2.4GHz low power wireless transceiver analog front-end for endoscopy capsule system. ISCAS 2006
19Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLXiaokang Guan, A. Wang, A. Ishikawa, T. Tamura, Zhihua Wang, Chun Zhang: A 3V 110µW 3.1 ppm/°C curvature-compensated CMOS bandgap reference. ISCAS 2006
18Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJinke Yao, Baoyong Chi, Zhihua Wang: A 4MHz Gm-C filter with on-chip frequency automatic tuning. ISCAS 2006
17Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLBaoyong Chi, Bingxue Shi, Zhihua Wang: A CMOS down-conversion micromixer for IEEE 802.11b WLAN transceivers. ISCAS 2006
16Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLXiang Xie, Guolin Li, Zhihua Wang: A new VLSI structure for an improved near-lossless color image compression algorithm inside wireless endoscopy capsule. ISCAS 2006
15Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSongping Mai, Kun Yang, Wenli Lan, Chun Zhang, Zhihua Wang: An open-source based DSP with enhanced multimedia-processing capacity for embedded applications. ISCAS 2006
14Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMian Dong, Chun Zhang, Songping Mai, Zhihua Wang, Dongmei Li: A Wideband Frequency-Shift Keying Demodulator for Wireless Neural Stimulation Microsystems. VLSI Design 2006: 521-524
13Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhihua Wang, Xiaofeng Chen, Bing Xu: Generalization of functional equation for the square root spiral. Applied Mathematics and Computation 182(2): 1355-1360 (2006)
2005
12Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZiqiang Wang, Baoyong Chi, Min Lin, Shuguang Han, Lu Liu, Jinke Yao, Zhihua Wang: A monolithic CMOS L band DAB receiver. ASP-DAC 2005: 1232-1235
11Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLXiang Xie, Guolin Li, Dongmei Li, Chun Zhang, Zhihua Wang: A new near-lossless image compression algorithm suitable for hardware design in wireless endoscopy system. ICIP (1) 2005: 1125-1128
10Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLu Liu, Zhihua Wang, Guolin Li: Calculation of intermodulation distortion in CMOS transconductance stage. ISCAS (4) 2005: 3700-3703
9Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLXiang Xie, Guolin Li, Zhihua Wang, Chun Zhang, Dongmei Li, XiaoWen Li: A novel method of lossy image compression for digital image sensors with Bayer color filter arrays. ISCAS (5) 2005: 4995-4998
8Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLu Liu, Zhihua Wang: A new high gain low voltage 1.45 GHz CMOS mixer. ISCAS (5) 2005: 5023-5026
7Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZihong Liu, Zhihua Wang, Guolin Li, Zhiping Yu: A Novel Solid Neuron-Network Chip Based on Both Biological and Artificial Neural Network Theories. ISNN (1) 2005: 479-484
2004
6Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAlberto Lerner, Dennis Shasha, Zhihua Wang, Xiaojian Zhao, Yunyue Zhu: Fast Algorithms for Time Series with applications to Finance, Physics, Music, Biology, and other Suspects. SIGMOD Conference 2004: 965-968
2002
5Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLeibo Liu, Xuejin Wang, Hongying Meng, Li Zhang, Zhihua Wang, Hongyi Chen: A VLSI architecture of spatial combinative lifting algorithm based 2-D DWT/IDWT. APCCAS (2) 2002: 299-304
1998
4Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhihua Wang, Georges G. E. Gielen, Willy M. C. Sansen: Probabilistic fault detection and the selection of measurements for analog integrated circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 17(9): 862-872 (1998)
1994
3no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhihua Wang, Stephen W. Director: An Efficient Yield Optimization Method Using A Two Step Linear Approximation of Circuit Performance. EDAC-ETC-EUROASIC 1994: 567-571
2Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGeorges G. E. Gielen, Zhihua Wang, Willy M. C. Sansen: Fault detection and input stimulus determination for the testing of analog integrated circuits based on power-supply current monitoring. ICCAD 1994: 495-498
1no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhihua Wang, Georges G. E. Gielen, Willy M. C. Sansen: A Novel Method for the Fault Detection of Analog Integrated Circuits. ISCAS 1994: 347-350

Coauthor Index

1Hong Chen [28] [36] [37] [44]
2Hongyi Chen [5] [35]
3Run Chen [26] [44]
4Xinkai Chen [36] [37] [38] [39]
5Xiaofeng Chen [13]
6Baoyong Chi [12] [17] [18] [20] [25] [30] [33] [34] [35] [42] [43]
7Patrick Chiang [34]
8Yike Cui [34]
9Stephen W. Director [3]
10Mian Dong [14]
11Dingkun Du [24]
12Jingbo Duan [32]
13Tongqiang Gao [30] [43]
14Georges G. E. Gielen [1] [2] [4]
15Xiaokang Guan [19]
16Shuguang Han [12] [20] [25]
17Shuilong Huang [21] [29] [40] [41]
18A. Ishikawa [19]
19Chen Jia [28]
20Wenli Lan [15]
21Alberto Lerner [6]
22Dongmei Li [9] [11] [14] [26] [30] [31] [32]
23Fule Li [31] [32]
24Guolin Li [7] [9] [10] [11] [16] [20] [25] [36] [37] [38] [39]
25XiaoWen Li [9] [36] [37]
26Xiaowen Li [38] [39]
27Yongming Li [24] [32] [34]
28Min Lin [12]
29Chunsheng Liu [28]
30Leibo Liu [5]
31Liyuan Liu [26] [32]
32Lu Liu [8] [10] [12]
33Ming Liu [44]
34Minjie Liu [34]
35Zihong Liu [7]
36Changming Ma [22]
37Huainan Ma [21] [40]
38Songping Mai [14] [15] [45]
39Hongying Meng [5]
40Woogeun Rhee [33]
41Willy M. C. Sansen [1] [2] [4]
42Dennis Shasha [6]
43Bingxue Shi [17]
44T. Tamura [19]
45Seeteck Tan [24]
46A. Wang [19]
47Xuejin Wang [5]
48Zhiwei Wang [27]
49Ziqiang Wang [12]
50Ende Wu [35]
51Xiang Xie [9] [11] [16] [20] [25] [36] [37] [38] [39]
52Bing Xu [13]
53Haolei Yang [27]
54Kun Yang [15] [23]
55Jinke Yao [12] [18] [20] [25] [35]
56Xueyi Yu [33]
57Zhiping Yu [7]
58Qinghua Zeng [27]
59Chun Zhang [9] [11] [14] [15] [19] [22] [23] [28] [39] [42] [43] [45]
60Li Zhang [5] [35] [37] [38] [39]
61Yinghui Zhang [27]
62Yulei Zhang [34]
63Xiaojian Zhao [6]
64Yunyue Zhu [6]

Colors in the list of coauthors

Copyright © Fri Mar 12 12:56:28 2010 by Michael Ley (ley@uni-trier.de)